Daily Shaarli
January 21, 2022
Google Research Releases Circuit Training, an Open-Source Framework for Automated Chip Floorplanning - AB Open
Google publie le code d'un outil pour faire du «floorplanning» avec de l'IA.
En plus d'être open-source, l'outil est incroyablement plus performant que l'humain pour la conception d'ASIC (et de FPGA ?)
Comment marche le GPS, explication complète en Images.
* import chisel3._ class Example extends Module { val a, b, c = IO(Input(Bool())) val d, e, f = IO(Input(Bool())) val foo, bar = IO(Input(UInt(8.W))) val out = IO(Output(UInt(8.W))) val myReg = RegInit(0.U(8.W)) out := myReg when (a && b && c) { myReg := foo } when (d && e && f) { myReg := bar } } println(getVerilogString(new Example)) - Scastie
Chisel version 3.5.0 sur scatie