Shaare your links...
5077 links
yakmoijebrille Home Login RSS Feed ATOM Feed Tag cloud Picture wall Daily
Links per page: 20 50 100
page 1 / 1
1 results for tags scatie x
  • * import chisel3._ class Example extends Module { val a, b, c = IO(Input(Bool())) val d, e, f = IO(Input(Bool())) val foo, bar = IO(Input(UInt(8.W))) val out = IO(Output(UInt(8.W))) val myReg = RegInit(0.U(8.W)) out := myReg when (a && b && c) { myReg := foo } when (d && e && f) { myReg := bar } } println(getVerilogString(new Example)) - Scastie
    Chisel version 3.5.0 sur scatie
    Fri Jan 21 09:15:19 2022 - permalink -
    - https://scastie.scala-lang.org/nQ7a6fChTcW6nUanNh7lgA
    chisel flf scala scatie
Links per page: 20 50 100
page 1 / 1
Shaarli 0.0.41 beta - The personal, minimalist, super-fast, no-database delicious clone. By sebsauvage.net. Theme by idleman.fr.