Shaare your links...
5077 links
yakmoijebrille Home Login RSS Feed ATOM Feed Tag cloud Picture wall Daily
Links per page: 20 50 100
◄Older
page 1 / 2
32 results for tags scala x
  • Release Chisel v3.6.0-M1 · chipsalliance/chisel3
    Sortie de Chisel 3.6
    Mon Dec 12 20:52:46 2022 - permalink -
    - https://github.com/chipsalliance/chisel3/releases/tag/v3.6.0-M1
    chisel flf fpga hdl scala
  • How to publish a Scala library in GitHub Packages | by Manuel Rodríguez | Medium
    Fri Oct 28 14:59:10 2022 - permalink -
    - https://medium.com/@supermanue/how-to-publish-a-scala-library-in-github-bfb0fa39c1e4
    chisel flf github maven scala
  • * import chisel3._ class Example extends Module { val a, b, c = IO(Input(Bool())) val d, e, f = IO(Input(Bool())) val foo, bar = IO(Input(UInt(8.W))) val out = IO(Output(UInt(8.W))) val myReg = RegInit(0.U(8.W)) out := myReg when (a && b && c) { myReg := foo } when (d && e && f) { myReg := bar } } println(getVerilogString(new Example)) - Scastie
    Chisel version 3.5.0 sur scatie
    Fri Jan 21 09:15:19 2022 - permalink -
    - https://scastie.scala-lang.org/nQ7a6fChTcW6nUanNh7lgA
    chisel flf scala scatie
  • import chisel3._ import chisel3.stage.ChiselStage class Foo extends Module { val io = IO(new Bundle { val in = Input(Bool()) val out = Output(Bool()) }) io.out := ~io.in } println((new ChiselStage).emitVerilog(new Foo)) - Scastie
    Pour tester des bouts de code  Chisel en ligne
    Mon Jan 17 09:03:12 2022 - permalink -
    - https://scastie.scala-lang.org/nG8DwNJXSoy4jseHUaRa3w
    chisel flf online scala scastie web
  • Chisel, construire du matériel en langage Scala | Connect - Editions Diamond
    Bonne année,
    Et si on profitait de cette nouvelle année pour mettre de coté le Verilog/VHDL et passer à Chisel ?
    Mon Jan 3 08:31:39 2022 - permalink -
    - https://connect.ed-diamond.com/hackable/hk-040/chisel-construire-du-materiel-en-langage-scala
    chisel flf fpga hdl scala verilog vhdl yosys
  • Cask: a Scala HTTP micro-framework
    Un simple serveur web à la flask mais en Scala
    Wed Sep 22 10:16:14 2021 - permalink -
    - https://com-lihaoyi.github.io/cask/
    cask flask scala web
  • CCC 2021 - YouTube
    Les vidéos du CCC 2021 à shanghai : Chisel Community Conference
    Tue Sep 7 12:49:58 2021 - permalink -
    - https://www.youtube.com/playlist?list=PL02hojk7ZTSeZOUqV8QND4dYbkTm3Ov2A
    ccc2021 chisel flf scala
  • How to set default Java version on Ubuntu / Debian | ComputingForGeeks
    Pour changer sa version de java dans sa debian
    Tue Oct 20 21:01:56 2020 - permalink -
    - https://computingforgeeks.com/how-to-set-default-java-version-on-ubuntu-debian/
    debian flf java scala
  • Installer scala 2.12 sur debian
    howto
    Sun Oct 18 06:56:00 2020 - permalink -
    - https://gist.github.com/gritzkoo/394f5ab44d9be560b51fe6d7bb494ffb
    debian howto scala
  • Text Editors · Metals
    Scala ide plugin
    Fri Feb 7 14:30:07 2020 - permalink -
    - https://scalameta.org/metals/docs/editors/overview.html
    chisel flf scala
  • Découvrir la programmation fonctionnelle #1 | Fonctions - Blog Xebia - Expertise Technologique & Méthodes Agiles
    coude
    Mon Dec 2 16:21:43 2019 - permalink -
    - https://blog.xebia.fr/2015/05/22/decouvrir-la-programmation-fonctionnelle-1-fonctions/
    fonctionnel programmation scala tutoriel
  • sbt: Use jar file for libraryDependencies | Flummoxed by IT
    comment inclure des dépendances local dans scala
    Wed Oct 2 14:46:08 2019 - permalink -
    - http://flummox-engineering.blogspot.com/2014/06/sbt-use-jar-file-for-librarydependencies.html
    import sbt scala
  • The VexRiscV CPU - A New Way to Design | Electronics etc…
    Une analyse du processeur VexRiscV écrit en SpinalHDL. Le SpinalHDL à le même gros défault que Chisel : C'est du Scala, et la courbe d'apprentissage est (vraiment) raide.
    Tue Dec 18 10:35:25 2018 - permalink -
    - https://tomverbeure.github.io/rtl/2018/12/06/The-VexRiscV-CPU-A-New-Way-To-Design.html
    chisel flf hdl riscv scala spinalHDL
  • Scala School - Pattern matching & functional composition
    Sun Dec 16 10:31:18 2018 - permalink -
    - https://twitter.github.io/scala_school/pattern-matching-and-functional-composition.html#PartialFunction
    partialfunction programmation scala tuto
  • TapTempo en Scala - LinuxFr.org
    Pour que la fièvre TapTempo ne s'éteigne pas, voici une version Scala ;)
    Tue Jul 24 08:19:33 2018 - permalink -
    - https://linuxfr.org/users/martoni/journaux/taptempo-en-scala
    scala taptempo
  • Edmond Cote's Blog: Another Scala Cheatsheet
    Fri May 11 08:17:57 2018 - permalink -
    - http://blog.edmondcote.com/2018/05/another-scala-cheatsheet.html
    chisel flf scala
  • Code review: CRC32 (Ethernet) - Google Groupes
    coude
    Sun Apr 8 21:31:44 2018 - permalink -
    - https://groups.google.com/forum/#!topic/chisel-users/gSedIzYoH2Y
    Chisel scala vecinit
  • DFiant un HDL basé sur Scala
    Jamais deux sans trois, après Chisel et SpinalHDL voici DFiant : un langage de description matériel basé sur Scala.
    Scala à la côte dans le domaine du HDL ! Perso je fait du chisel et j'ai encore un peu de mal à me faire à l'écosystème de scala.
    Mon Apr 2 15:11:56 2018 - permalink -
    - http://yoav.net.technion.ac.il/files/2016/05/DFiant-FPL2017.pdf
    dfiant flf hdl scala
  • chisel 3.1.0-RC1 | Chisel
    Le process de release à l'air de bien tourner maintenant.
    Wed Mar 7 14:32:07 2018 - permalink -
    - https://chisel.eecs.berkeley.edu/blog/?p=184
    chisel flf fpga hdl scala
  • chisel 3.0.0-RC1 | Chisel
    Sortie de la RC1 de Chisel 3.0.0. Première version de chisel 3 à être supporté par le RISC-V Rocket Chip Generator
    Tue Oct 31 08:02:00 2017 - permalink -
    - https://chisel.eecs.berkeley.edu/blog/?p=173
    chisel chisel3 riscv scala
Links per page: 20 50 100
◄Older
page 1 / 2
Shaarli 0.0.41 beta - The personal, minimalist, super-fast, no-database delicious clone. By sebsauvage.net. Theme by idleman.fr.