Shaare your links...
5077 links
yakmoijebrille Home Login RSS Feed ATOM Feed Tag cloud Picture wall Daily
Links per page: 20 50 100
◄Older
page 1 / 6
108 results for tags Chisel x
  • Release Chisel v3.6.0-M1 · chipsalliance/chisel3
    Sortie de Chisel 3.6
    Mon Dec 12 20:52:46 2022 - permalink -
    - https://github.com/chipsalliance/chisel3/releases/tag/v3.6.0-M1
    chisel flf fpga hdl scala
  • How to publish a Scala library in GitHub Packages | by Manuel Rodríguez | Medium
    Fri Oct 28 14:59:10 2022 - permalink -
    - https://medium.com/@supermanue/how-to-publish-a-scala-library-in-github-bfb0fa39c1e4
    chisel flf github maven scala
  • sv2chisel, le convertisseur (System)Verilog vers Chisel | Front de Libération des FPGA
    Wed Mar 23 21:50:25 2022 - permalink -
    - http://www.fabienm.eu/flf/sv2chisel-le-convertisseur-systemverilog-vers-chisel/
    chisel flf sv2chisel verilog
  • YouTube thumbnail
    Guest Lecture - Formal Verification in Chisel (Kevin Laeufer) - YouTube
    Un cours sur la preuve formelle avec Chisel. Par l'auteur de la librairie.
    Thu Feb 24 20:48:55 2022 - permalink -
    - https://www.youtube.com/watch?v=ssAbq5tdh8Y
    chisel flf formel preuve
  • ovh/sv2chisel: (System)Verilog to Chisel translator
    Un convertisseur SystemVerilog vers Chisel.
    Thu Feb 17 20:59:59 2022 - permalink -
    - https://github.com/ovh/sv2chisel
    chisel flf systemverilog verilog
  • YouTube thumbnail
    Gameboy Switch Formicapunk - YouTube
    Grace à la carte TangNano4K munie d'un FPGA de chez gowin, ajouter une manette et un grand écran HDMI à votre GameBoy.
    En plug&play, comme la switch ;)
    Mon Feb 14 13:47:23 2022 - permalink -
    - https://www.youtube.com/watch?v=fpuqvUVp__I&t=3s
    chisel flf fpga gowin tangnano4k
  • * import chisel3._ class Example extends Module { val a, b, c = IO(Input(Bool())) val d, e, f = IO(Input(Bool())) val foo, bar = IO(Input(UInt(8.W))) val out = IO(Output(UInt(8.W))) val myReg = RegInit(0.U(8.W)) out := myReg when (a && b && c) { myReg := foo } when (d && e && f) { myReg := bar } } println(getVerilogString(new Example)) - Scastie
    Chisel version 3.5.0 sur scatie
    Fri Jan 21 09:15:19 2022 - permalink -
    - https://scastie.scala-lang.org/nQ7a6fChTcW6nUanNh7lgA
    chisel flf scala scatie
  • import chisel3._ import chisel3.stage.ChiselStage class Foo extends Module { val io = IO(new Bundle { val in = Input(Bool()) val out = Output(Bool()) }) io.out := ~io.in } println((new ChiselStage).emitVerilog(new Foo)) - Scastie
    Pour tester des bouts de code  Chisel en ligne
    Mon Jan 17 09:03:12 2022 - permalink -
    - https://scastie.scala-lang.org/nG8DwNJXSoy4jseHUaRa3w
    chisel flf online scala scastie web
  • Release Chisel v3.5.0 · chipsalliance/chisel3
    Chisel 3.5.0 est sorti. Avec quelques nouveautés majeur comme le test formel avec chiseltest.
    Wed Jan 12 08:34:02 2022 - permalink -
    - https://github.com/chipsalliance/chisel3/releases/tag/v3.5.0
    chisel flf formal release
  • Chisel, construire du matériel en langage Scala | Connect - Editions Diamond
    Bonne année,
    Et si on profitait de cette nouvelle année pour mettre de coté le Verilog/VHDL et passer à Chisel ?
    Mon Jan 3 08:31:39 2022 - permalink -
    - https://connect.ed-diamond.com/hackable/hk-040/chisel-construire-du-materiel-en-langage-scala
    chisel flf fpga hdl scala verilog vhdl yosys
  • agile-hw/lectures: Lectures for the Agile Hardware Design course in Jupyter Notebooks
    Un cours à l'université de Californie de Santa Cruz sur la méthode agile appliqué au matériel (FPGA). Avec Chisel comme support de langage pour la description matériel (HDL)
    Thu Dec 9 12:38:59 2021 - permalink -
    - https://github.com/agile-hw/lectures
    Chisel flf fpga hdl
  • chisel3 3.4.3 - chisel3.util.experimental.BoringUtils
    Plus fort que chipscope et consort : Pour connecter des signaux internes d'un module à d'autres signaux interne d'un autre module il y a BoringUtils !
    Wed Nov 17 13:11:10 2021 - permalink -
    - https://www.chisel-lang.org/api/latest/chisel3/util/experimental/BoringUtils$.html
    chisel flf fpga
  • Conway's Game of Life on FPGA - K155LA3
    La note de blog pointé par hackaday
    Thu Nov 11 12:42:13 2021 - permalink -
    - https://k155la3.blog/2020/10/09/conways-game-of-life-on-fpga/
    chisel flf game-of-life
  • The Game Of Life Moves Pretty Fast, If You Don’t Use Stop Motion You Might Miss It | Hackaday
    Game of Life en Chisel
    Thu Nov 11 12:37:05 2021 - permalink -
    - https://hackaday.com/2021/11/11/the-game-of-life-moves-pretty-fast-if-you-dont-use-stop-motion-you-might-miss-it/
    chisel flf game-of-life
  • schoeberl/lipsi: Lipsi: Probably the Smallest Processor in the World
    Probablement le plus petit processeur du monde.
    Fri Nov 5 14:31:12 2021 - permalink -
    - https://github.com/schoeberl/lipsi
    8bits chisel cpu flf
  • WOSET 2021 Proceedings | woset-workshop.github.io
    Plein de présentations sur les outils open sources pour les FPGA
    Wed Nov 3 13:52:14 2021 - permalink -
    - https://woset-workshop.github.io/WOSET2021.html
    chisel flf formal fpga
  • WOSET 2021 Proceedings | woset-workshop.github.io
    Les papiers du WOSET 2021
    Wed Nov 3 13:48:05 2021 - permalink -
    - https://woset-workshop.github.io/WOSET2021.html#article-3
    chisel flf formal
  • Digitronix Nepal: Winner Announcement of "2nd International FPGA Design Competition"!
    Le projet d'interface HDMI pour Gameboy est arrivé deuxième au concours Népalais Digitronix:

    https://github.com/Martoni/GbHdmi
    Mon Nov 1 20:46:18 2021 - permalink -
    - https://www.digitronixnepal.com/2021/10/winner-2nd-International-FPGA-design-competition.html
    chisel digitronix flf
  • ekiwi/open-source-formal-verification-for-chisel
    Tue Oct 19 10:50:37 2021 - permalink -
    - https://github.com/ekiwi/open-source-formal-verification-for-chisel
    chisel flf formal
  • Release Chisel 3.5 Release Candidate 1 · chipsalliance/chisel3
    Sortie de Chisel 3.5
    Sun Sep 26 07:44:20 2021 - permalink -
    - https://github.com/chipsalliance/chisel3/releases/tag/v3.5.0-RC1
    chisel flf
Links per page: 20 50 100
◄Older
page 1 / 6
Shaarli 0.0.41 beta - The personal, minimalist, super-fast, no-database delicious clone. By sebsauvage.net. Theme by idleman.fr.